Форум программистов, компьютерный форум, киберфорум
Программируемая логика: ПЛИС, ПАИС
Войти
Регистрация
Восстановить пароль
Карта форума Темы раздела Блоги Сообщество Поиск Заказать работу  
Другие темы раздела
Программируемая логика Мультиплексор 4в1 (ISE) https://www.cyberforum.ru/ programmable-logic/ thread1561377.html
нужно на программе Xilinx(ISE design Suitуе)создать мультиплексор 4х1 (Разработать ИС имеющий 2(две) адресных, 3 (три) информационных и 2(два) инверсных выхода. Разрешение по лог. «0».) странность в том что надо 2 выхода хотя у мультиплексора обезательно должен быть 1 выход.Если хоть немножко разбераетесь,дайте хотя бы подсказку.
Создание трехразрядного счетчика по модулю 7 с шагом 2 Программируемая логика
Здравствуйте. В универе задали сделать такой счетчик с помощью программы Altera. Загвоздка заключается в том, что счетчик необходимо сделать используя только стандартные примитивы, по памяти не помню(программы нет под рукой, работаем только в вузе), но вроде как использовать можно только вот эти: AND BAND BNAND BNOR BOR NAND
Программируемая логика Измеритель скважности цифрового сигнала https://www.cyberforum.ru/ programmable-logic/ thread1557019.html
Приветствую, в общем суть такая, дали задание сделать измеритель скважности сигнала, сигнал подается с генератора. Как я думаю, нужно сделать два счетчика: 1 - считает длительность импульса, 2 - период следования импульса. Ну как это реализовать, не пойму. Как происходит подсчет длительности импульса и период? Вопрос может показаться некорректным, но тем не менее, может кто что подскажет?
Программируемая логика Счетчик 2-10 (VHDL, QUARTUS) Здравствуйте! Дана задача - реализовать счетчик, работающий в двоично-десятич. коде, заданном таблицей: 0000, 0001, 0010 и т.д. Счетчик до 9 (1001), после девяти сброс на 0000. По данной таблицу сделала карты карно и минимизацию функций. Пытаюсь реализовать на 4-х d-триггерах с синхронным сбросом. Но куда добавлять минимизированные функции - не знаю. (среда - Quartus II, язык - vhdl) Вот... https://www.cyberforum.ru/ programmable-logic/ thread1556674.html
Программируемая логика Альтернатива триггерам?
Есть ли какая то альтернатива технологии триггеров?
Программируемая логика Управление вращением шагового двигателя ДШР-39 (VHDL) https://www.cyberforum.ru/ programmable-logic/ thread1550517.html
Начали изучать предмет в этом семестре и даже близко не подходили к двигателям и прочему. А в задании еще надо предусмотреть плавное изменение скорости вращения вала шагового двигателя в большую и в меньшую сторону, реверс вращения. Выводить скорость вращения на светодиодном индикаторе. Частота тактового сигнала 2Мгц. Что тут можно сделать?
Программируемая логика Составить схему из триггеров https://www.cyberforum.ru/ programmable-logic/ thread1548827.html
Помогите составить схему. На D-триггер последовательно вводятся два операнда(0-0 или 0-1 или 1-1). Как их сохранить? (Можно использовать: D,T,RS,JK и лог. элементы)
Программируемая логика Минимизация двоично-десятичного дешифратора на жесткой логике
Здравствуйте, Господа. Возник один интересный вопрос по минимизации. На рисунке представлен двоично-десятичный дешифратор с выходом на семисегментник(в будущем на два(но сейчас не суть важно)). Собственно можно ли каким-то образом минимизировать выходы "ИЛИ" сегментов для "A,B,C,D,E,F,G" или они останутся такими же громоздкими? Или есть какие либо иные схемы создания подобного дешифратора. ...
Программируемая логика SystemVerilog. Как сделать стартовую инициализацию регистров? https://www.cyberforum.ru/ programmable-logic/ thread1547745.html
Приветствую всех читающих это. Что я хочу сделать: мигать светодиодом с заданным периодом. Не могу никак понять, как сделать стартовую инициализацию регистров в SystemVerilog. Пишу под Altera, среда Quartus II 9.1. У меня есть счётчик с синхронным и асинхронным сбросом: module counter #(parameter WIDTH = 32) (input logic clk, a_reset, s_reset, output logic value);
Программируемая логика Схема деления и умножения частот https://www.cyberforum.ru/ programmable-logic/ thread1547099.html
Задание: Составить схему которая осуществляет умножение и деление частот с использованием защелок и триггеров. На вход схемы поступает какая то частота ~Fsys. Схема должна состоять с триггеров (D, S , R, RS, C... с каких угодно) и защелок. На выходе этой схемы должно получится: эта же частота (Fsys) и количество частот которые построены на основе Fsys по принципу деления на 2. Переводя на...
Программируемая логика Поведение схемы ИЛИ
Как будет вести себя схема ИЛИ, если на одном из входов вследствие внутренней неисправности будет постоянно присутствовать логическая единица? Логический нуль? Составьте таблицу истинности для неисправностей схемы ЗИЛИ. Определите поведение схемы ИЛИ-НЕ при тех же условиях.
Программируемая логика Разобрать формулу + схематически нарисовать в Logisim Доброго времени суток! Нужно разобрать такую формулу : XNOR NOR AND XNOR XOR + нарисовать ее в logisim. Заранее спасибо!) https://www.cyberforum.ru/ programmable-logic/ thread1540238.html
1 / 1 / 1
Регистрация: 13.11.2012
Сообщений: 117
28.10.2015, 20:01  [ТС] 0

Задержка времени в Quartus II - Программируемая логика - Ответ 8259479

28.10.2015, 20:01. Показов 5157. Ответов 11
Метки (Все метки)

Ответ

Просто задача легко решается на LD, а я хочу сделать на Quartus II, но про срокам сдачи не успеваю сделать так так Вы говорите!
Задержка времени в Quartus II


Вернуться к обсуждению:
Задержка времени в Quartus II Программируемая логика
0
Programming
Эксперт
94731 / 64177 / 26122
Регистрация: 12.04.2006
Сообщений: 116,782
28.10.2015, 20:01
Готовые ответы и решения:

Задержка на схеме в Quartus
Объясните кто понимает чему равна задержка на данной схеме

Задержка пo времени
Есть ли в C# что-то типа Sleep? Т.е. если мне нужна просто задержка времени, в смысле подождать...

Задержка времени в С++
Подскажите пожалуйста. В Microsoft Visual C++ 6.0 как и в остальных средах С++, задержка времени...

задержка времени
Господа програмисты не подскажите как задержать программу на определённое время.если можно самый...

11
28.10.2015, 20:01
IT_Exp
Эксперт
87844 / 49110 / 22898
Регистрация: 17.06.2006
Сообщений: 92,604
28.10.2015, 20:01
Помогаю со студенческими работами здесь

Задержка времени
Добрый день, столкнулся с такой проблемой, нужно реализовать точную задержку времени в 1 мс,...

Задержка по времени
Добрый день! казалось бы, банальный вопрос, но 6 что то он меня поставил в затруднение: Есть...

Задержка времени
Добрый день! Я делаю игру РПГ, я создаю босса, и хотелось бы сделать так, чтоб он атаковал раз в 3...

Задержка времени
Здравствуйте! Мне необходимо сделать задержку времени, чтобы перемещение элемента было...

Задержка операций по времени
Подскажите как можно сделать так , чтобы при нажатии на кнопку действия выполнялись только через...

C# Unity Задержка по времени
Добрый день! Я тут столкнулся с проблемой. using System.Collections; using...

0
КиберФорум - форум программистов, компьютерный форум, программирование
Powered by vBulletin
Copyright ©2000 - 2024, CyberForum.ru