Форум программистов, компьютерный форум, киберфорум
Программируемая логика: ПЛИС, ПАИС
Войти
Регистрация
Восстановить пароль
Карта форума Темы раздела Блоги Сообщество Поиск Заказать работу  
Другие темы раздела
Программируемая логика Светофор VHDL Здравствуйте! В вузе дали задание - описать поведение светофора, который включается по кнопке, а потом некоторое время на неё не реагирует. Проблема в повторном запуске. Не понимаю почему цикл не запускается снова, как решить эту проблему?Пишу в Quartus. Код: library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; use ieee.std_logic_arith.all; use ieee.std_logic_unsigned.all; https://www.cyberforum.ru/ programmable-logic/ thread2004786.html Digital Works Программируемая логика
Всем привет! Ребята, спасайте. Не могу схему построить. Простую схему я наклепал (!X3!X1!X0) ˅ (!X3X2) ˅ (X2X1X0). А теперь мне нужно это же выражение в И-НЕ наклепать, то есть вот так !(!(!X3!X1!X0) ˄ !(!X3X2) ˄ !(X2X1X0)). Пробовал с помощью NAND.
Программируемая логика Собрать схему по таблице воздействия Здравствуйте, уважаемые форумчане и гости форума. Стоит такая задача: по заданной таблице воздействия рассчитать и собрать схему, применив демультиплексор стенда. Таблица воздействия: Она означает, что когда схема собрана и подключена к диоду, должно происходить следующее: 1.) Включаем стенд - диод не горит 2.) Посылаем сигнал x1 (нажимаем клавишу на стенде) - диод не горит https://www.cyberforum.ru/ programmable-logic/ thread1999924.html Программируемая логика Какие есть профессиональные периодические издания для плисоводов и специалистов смежных областей? Собственно, вопрос в названии темы. Откуда можно получать актуальную информацию и узнавать новое? https://www.cyberforum.ru/ programmable-logic/ thread1996172.html
Спроектировать генератор двоичной числовой последовательности на JK-триггерах Программируемая логика
Помогите написать Verilog модель. Спроектировать генератор двоичной числовой последовательности на JK-триггерах. N = 3 --- разрядность двоичных чисел. L = 19 --- длинна последовательности. W = < 5, 3, 3, 2, 2, 1, 1, 0, 1, 2, 3, 4, 5, 6, 7, 7, 5, 3, 1>. Синхронизация --- внешняя. Предусмотреть самозапуск и восстановление нормальной работы генератора при сбойных ситуациях( антисбойные...
Программируемая логика Реализация микросхемы 74184. Двоично-десятичный код в двоичный Verilog. Нужно реализовать преобразование 6-разрядного двоично-десятичного кода в двоичный. Возникли сложности на преобразовании старшего разряда десятичного числа. module bcd2binary ( input bcd, output regbinary ); https://www.cyberforum.ru/ programmable-logic/ thread1992372.html
Программируемая логика Сравнить чисел в компараторе За какое количество шагов можно будет получить результат сравнения двух восьмиразрядных чисел в последовательном компараторе, если они равны? 16 9 8 6 1 ну в ответе 8 ну я не очень понимаю Объясните пжлс https://www.cyberforum.ru/ programmable-logic/ thread1989270.html Программируемая логика Кто знает SystemVerilog
Здравствуйте! Кто сможет помочь c программой на SystemVerilog?
Программируемая логика Пояснения по коду https://www.cyberforum.ru/ programmable-logic/ thread1983589.html
Добрый день! Уважаемые, начинаю знакомство с ПЛИС. Нашла в интернете код цифрового фильтра, но никак не врублю в него. Не могли бы вы пояснить фрагмент кода. Что он делает? uint8_t lowADC = ADCL; uint16_t Din = ADCH << 8 | lowADC; Dacc = Dacc + Din - Dout; Dout = Dacc/(uint32_t)K; ADCSRA |= (1<<4);
Программируемая логика Quartus II, разделение шины https://www.cyberforum.ru/ programmable-logic/ thread1981995.html
Здравствуйте. Делаю порт на quartus II. В основе порта двунаправленная шина на 16 бит, взял пин bidir (который сразу и in и out), протянул от него шину (допустим, A ). Но задание такое, что порт может быть например 16 входов, может быть 12 входов и 4 выхода, 8 входов 8 выходов и тд. Соответсвенно решил разделить исходную шину на 4 шины по 4 бит. И их уже пихать в шинные формирователи. Но quartus...
Синхронные или асинхронные выходы автомата Программируемая логика
Один человек мне говорил, что автомат Мура нужно делать только с синхронными выходами. Сначала состояние переключается. Потом по состоянию асинхронно переключаются промежуточные выходы. Потом по промежуточным окончательно переключаются синхронные выходы. А я говорю, что синхронные выходы не нужны, что компилятор всё равно посчитает максимальную частоту, на которой ПЛИС будет работать без проблем....
Программируемая логика Посоветуйте выбрать отладочную плату для работы с MAX3000 Опыт работы с ПЛИС пока равен нулю. Скачал несколько версий Quartus (понравилась 9.1 - есть встроенный отладчик, поддерживает MAX3000 и работает быстро), заказал USB Blaster Downloader. Для решения моей задачи (определение рассогласования фаз двух сигналов относительно опорного) больше чем достаточно подходит микросхема EPM3064ATC44. Есть на AliExpress не дорогая макетная плата MAX3000 EPM3064. ... https://www.cyberforum.ru/ programmable-logic/ thread1975374.html
0 / 0 / 0
Регистрация: 12.12.2015
Сообщений: 6
0

Одновибратор на Quartus II - Программируемая логика - Программируемая логика - Ответ 10550304

16.06.2017, 16:13. Показов 1965. Ответов 0
Метки (Все метки)

Author24 — интернет-сервис помощи студентам
Нужно написать программу на ALTERA HDL, описать одновибратор которой по внешнему сигналу выдает один-единственный импульс определенной длительности, не зависящей от дли¬тельности входного импульса. Схема выглядит так

Есть программы для VHDL, но переделать в ALTERA не получается.
Код
always @(posedge clk or negedge reset_n)	
begin
if(~reset_n)
begin
pulseR <= 0;
q_pulseR <= 0;
end

else
begin
if(start)
pulseR <= 1;

if(pulseR)
if(q_pulseR != width - 1)
q_pulseR <= q_pulseR + 1;
else
begin
q_pulseR <= 0;
pulseR <= 0;
end


end
end


Вернуться к обсуждению:
Одновибратор на Quartus II - Программируемая логика Программируемая логика
0
Заказать работу у эксперта
Programming
Эксперт
94731 / 64177 / 26122
Регистрация: 12.04.2006
Сообщений: 116,782
16.06.2017, 16:13
Готовые ответы и решения:

Построить Т-триггер и счётчик на Active-HDL - Программируемая логика
Здравствуйте, помогите пожалуйста с заданиями по схемотехнике. У меня не очень большие знания в...

Программируемая логика с натуральной адресацией
Где можно посмотреть примеры схем программируемой логики с натуральной адресацией?

Перевод из quartus 1.4 в quartus ii
Всем доброго здравья. Не подскажете как можно полностью перевести проект из старой версии quartus...

AT90S8535. Одновибратор
Здравствуйте! Нужна помощь с программированием таймера Т0 для микроконтроллера AT90S8535…По заданию...

0
16.06.2017, 16:13
IT_Exp
Эксперт
87844 / 49110 / 22898
Регистрация: 17.06.2006
Сообщений: 92,604
16.06.2017, 16:13
Помогаю со студенческими работами здесь

Одновибратор с запуском по питанию?
Всем доброго дня! Нужна схема на ne555, которая при появлении питания включит реле на 5 сек. По...

Одновибратор на базе RS, D, JK триггеров
В экзаменационном билете есть такой вопрос, суть данного устройства я понял, но на примере при...

Одновибратор на таймере 555
Здравствуйте. Решаю следующую задачу: - имеется 4 вывода (1-2 - питание, 3 - пропадающий +, 4 -...

Одновибратор на логических элементах
Собрал в программе такую схему. Будет ли она работать и каковы должны быть номиналы элементов?...

Ждущий одновибратор без емкостей
Приветствую! Помогите советом, ссылкой, пинком и т.п. Где можно найти схемку ждущего одновибратора...

как включить одновибратор от синусойды из разетки?
Я хочу сделать так, чтобы одновибратор вырабатывал прямоугольный импульс длиной равной периоду...

0
КиберФорум - форум программистов, компьютерный форум, программирование
Powered by vBulletin
Copyright ©2000 - 2024, CyberForum.ru