Форум программистов, компьютерный форум, киберфорум
Программируемая логика: ПЛИС, ПАИС
Войти
Регистрация
Восстановить пароль
Карта форума Темы раздела Блоги Сообщество Поиск Заказать работу  
Другие темы раздела
Программируемая логика Накапливающий сумматор конвейерной архитектуры Здравствуйте,помогите,пожалуйста нарисовать функциональную схему накапливающего сумматора конвейерной архитектуры (6 разрядный).Накапливаются 6 разрядные данные в 10 временных дискретах.В каждом дискрете 7 отсчетов.Данные поступают синхронно,с периодическим повторением через 10 временных дискретов.Нужно использовать цепочку д -триггеров,с параллельной синхронной записью. Заранее спасибо. https://www.cyberforum.ru/ programmable-logic/ thread1025628.html AHDL. Накапливающий сумматор Программируемая логика
Здравствуйте,помогите,пожалуйста нарисовать функциональную схему накапливающего сумматора конвейерной архитектуры (6 разрядный).Накапливаются 6 разрядные данные в 10 временных дискретах.В каждом дискрете 7 отсчетов.Данные поступают синхронно,с периодическим повторением через 10 временных дискретов.Нужно использовать цепочку д -триггеров,с параллельной синхронной записью. Заранее спасибо.
Программируемая логика Quartus. Моделирование работы шифратора кода Грея Задание:Надо синтезировать схему устройства, а именно: Преобразователь двоичного ко-да в код Грея и описать его на основе Макрофункций в Quartus. Насколько я понял чтоб построить схему преобразователя мне нужен дешифратор и шифратор, после того как я его соберу мне нужно будет описать его на основе макрофункций и чтоб он выполнял функции преобразователя двоичного ко-да в код Грея. Что в Quartus... https://www.cyberforum.ru/ programmable-logic/ thread1025224.html Программируемая логика 8-ми разрядный сдвиговый регистр https://www.cyberforum.ru/ programmable-logic/ thread1020311.html
Здравствуйте. Нужно собрать 8 разрядный регистр с параллельным вводом и возможностью сдвига в сторону старшего разряда до появления в нем единиц. Смотрел в литературе, практически везде приводится сдвиг вправо(т.е младший разряд). Собрал со сдвигом вправо, вот прилагаю схему. Вопрос, разъясните пожалуйста, чем будет отличаться схема если сдвиг делать влево?
Программируемая логика Демультиплексор на 16 выходов
Господа, добрый вечер ;) Вот такая задача попалась: Постройте демультиплексор на 16 выходов с использованием только базовых элементов микросхем серии К155. Определить нагрузочную способность выходов построенного узла. Сравнить характеристики полученного устройства с характеристиками микросхемы – мультиплексора аналогичной серии. В общем суть понятна, но с чего начать... Может у кого...
Программируемая логика Определить число разрядов счетчика импульсов https://www.cyberforum.ru/ programmable-logic/ thread1018939.html
Здравствуйте! Помогите пожалуйста с решением задачи Применен время-импульсный метод преобразования интервала времени Δt в двоично-десятичный код. Какое минимальное число разрядов должен иметь счетчик импульсов, если частота опорных импульсов 1 МГц, а Δt = 0 – 5 мс ? Решение: Число импульсов: N = Δt*fo = 5*10-3*106 = 5000 5000 импульсов --> 212 = 4096 --> 12 разрядов ...
Программируемая логика Синтез и декомпозиция булевыми базисами Тема: Компьютерная электроника и задали задачки кто может подскажите. Реализовать на ИМС малой степени интеграции (И-НЕ) на мультиплексоре 8-1 (КП-7) булевы функции 4-х переменных. Сравнить число корпусов ИМС. 0 y = v (2,6,9,11,13,15) - удалено - Правила форума: 4.7. Как можно более полно описывайте суть проблемы или вопроса, что было сделано для ее решения и какие результаты... https://www.cyberforum.ru/ programmable-logic/ thread1015008.html Программируемая логика VHDL. Не определен объект верхнего уровня
Доброго времени суток! Пытаюсь написать суммирующий счетчик по модулю 10, но в процессе компиляции выскакивает ошибка: Error: Top-level design entity "wor3" is undefined Error: Quartus II Analysis & Synthesis was unsuccessful. 1 error, 0 warnings Error: Quartus II Full Compilation was unsuccessful. 3 errors, 0 warnings Вот то, что предшествует ошибке : Info: Running Quartus II Analysis &...
Программируемая логика Сумматор трех двухразрядных чисел Ребят,помогите собрать двухразрядный сумматор трех двоичных чисел на элементах И-ИЛИ-НЕ, уже какую неделю бьюсь-не выходит. https://www.cyberforum.ru/ programmable-logic/ thread1012994.html Программируемая логика VHDL. Тактирование модели сдвигового регистра https://www.cyberforum.ru/ programmable-logic/ thread1012781.html
Пишу потоковую модель для восьмиразрядного сдвигающего регистра. Подскажите, как учесть то что сдвиг должен производиться не по какому то значению на входе с а по перепаду, а то так сразу заполняется весь регистр сразу.
Программируемая логика Синтез и декомпозиция мультиплексора 8-в-1
Реализовать булеву функцию трёх переменных, используя ИМС малой степени интеграции, а также вторую схему на мультиплексоре 8 – 1. Сравнить число корпусов ИМС: У f = v (0,1,3,7) Подскажите что тут хоть писать надо. :) Добавлено через 34 секунды У-это игрик
Программируемая логика Преобразователь кодов Грея в 8421 Доброго времени сток. Имеется задача
1022 / 157 / 10
Регистрация: 16.01.2013
Сообщений: 544
03.12.2013, 11:11 0

VHDL. Задание сигналов - Программируемая логика - Ответ 5426778

03.12.2013, 11:11. Показов 1231. Ответов 3
Метки (Все метки)

Ответ

необходимо сделать следующее: есть 3 входа,
Замените в фразе слово входы на выходы (как следует из смысла задачи), и все станет на место. И есчо для логических матриц пишется не программа а описание. Об этом следует не забывать.

Вернуться к обсуждению:
VHDL. Задание сигналов Программируемая логика
0
Programming
Эксперт
94731 / 64177 / 26122
Регистрация: 12.04.2006
Сообщений: 116,782
03.12.2013, 11:11
Готовые ответы и решения:

Задание на VHDL
К плис подключены 6 светодиодов , каждый имеет свой выход, переключать их в соответствии с...

Выдает ошибку Error: VLM_0040: VHDL unit cannot be compiled as the target library name is not a legal VHDL identifier
выдает ошибку Error: VLM_0040: VHDL unit cannot be compiled as the target library name is not a...

Ошибка в объявлении сигналов функции на VHDL
Делала по примеру, компилятор выдает ошибку в объявлении функций и сигналов. Подскажите,...

Задание сигналов!
Здравствуйте! Нужно сделать вот такой сигнал.. Синусоиду как сделать знаю, а как дальше нет...=((...

Задание сигналов в аналитическом виде
Подскажите,пожалуйста,как в Simulink падать 2 сигнала в аналитическом виде на вход сумматора,при...

3
03.12.2013, 11:11
IT_Exp
Эксперт
87844 / 49110 / 22898
Регистрация: 17.06.2006
Сообщений: 92,604
03.12.2013, 11:11
Помогаю со студенческими работами здесь

Задание: Определить сколько различных сигналов могло быть подано m флажками различных цветов
Задание: Определить сколько различных сигналов могло быть подано m флажками различных цветов....

Способы стабилизации сигналов энкодеров, триггеров, захват ШИМ сигналов
Доброго времени суток, поделитесь своим опытом как вы боритесь с ложными срабатываниями Timer Input...

Построить временную диаграмму выходных сигналов триггера для заданных входных сигналов
Помогите!!! Задана функциональная схема триггера и временная диаграмма сигналов на его входах....

Построить временные диаграммы выходных сигналов триггеров для заданных входных сигналов
1 задание. Построить временную диаграмму выходных сигналов T-триггера при заданных входных...

Построить временные диаграммы выходных сигналов триггеров для заданных входных сигналов
1 задание. Построить временную диаграмму выходных сигналов T-триггера при заданных входных...

0
КиберФорум - форум программистов, компьютерный форум, программирование
Powered by vBulletin
Copyright ©2000 - 2024, CyberForum.ru