Форум программистов, компьютерный форум, киберфорум
Программируемая логика: ПЛИС, ПАИС
Войти
Регистрация
Восстановить пароль
Карта форума Темы раздела Блоги Сообщество Поиск Заказать работу  
Другие темы раздела
Программируемая логика EWB. Анализ работы мультиплексоров https://www.cyberforum.ru/ programmable-logic/ thread1034245.html
Помогите пожалуйста решить задачу. в workbench I. Используя логические элементы, собрать схему 5 канального мультиплексора. Подать на вход второго канала напряжение логической единицы, а на выходе поставить световой индикатор. Задать с помощью адресующего слова приоритетную работу второго информационного канала. II. Проанализировать работу предыдущей схемы с помощью генератора слова Word...
Алгоритм шифрования des на Verilog Программируемая логика
друзья помогите реализовать алгоритм шифрования des на Verilog. не могу найти ни одного примера КОДА
Программируемая логика Синтез JK-триггера на Active-HDL Нужно реализовать в Active-HDL синтез JK триггера на D,используя входы предустановки. Правила форума: 4.7. Как можно более полно описывайте суть проблемы или вопроса, что было сделано для ее решения и какие результаты получены. https://www.cyberforum.ru/ programmable-logic/ thread1031648.html Программируемая логика 4-х разрядный счетчик на JK-триггерах Помогите построить подробную схему в logical circuit 4-х разрядного счетчика на JK-триггерах Правила форума: 4.7. Как можно более полно описывайте суть проблемы или вопроса, что было сделано для ее решения и какие результаты получены. https://www.cyberforum.ru/ programmable-logic/ thread1030956.html
Минимизация функции Программируемая логика
Здравствуйте. Читал учебник (Алексеенко, Шагурин), и кое что не понял. Привожу картинки. Карта Карно для S'1, склейка по нулям, не понимаю как получили такой ответ(см. след. картинку). У меня такой ответ ни в какую не выходит.
Программируемая логика Девятиразрядная схема контроля четности https://www.cyberforum.ru/ programmable-logic/ thread1028435.html
написать программу девятиразрядная схема контроля чётности
Программируемая логика Прием данных с АЦП AD7705 в ПЛИС https://www.cyberforum.ru/ programmable-logic/ thread1028299.html
Здравствуйте! Помогите,срочно нужно написать программу для передачи данных с AD7705 на ПЛИС. Пытаюсь-не получается,а на этой неделе сдать надо.. Буду признателен.
VHDL. Задание сигналов Программируемая логика
Здравствуйте! Создаю небольшую программку в Xilinx, в файле, в котором нужно писать код, необходимо сделать следующее: есть 3 входа, на них с небольшой задержкой должны подаваться числа от 0 до 7 в двоичном виде: т.е. 000, 001, 010, 011, 100, 101, 110 и 111. Пишу следующее:tb : PROCESS BEGIN for x1 in 0 to 1 loop for x2 in 0 to 1 loop for x3 in 0 to 1 loop wait for 10 ns; end loop;...
Программируемая логика Накапливающий сумматор конвейерной архитектуры Здравствуйте,помогите,пожалуйста нарисовать функциональную схему накапливающего сумматора конвейерной архитектуры (6 разрядный).Накапливаются 6 разрядные данные в 10 временных дискретах.В каждом дискрете 7 отсчетов.Данные поступают синхронно,с периодическим повторением через 10 временных дискретов.Нужно использовать цепочку д -триггеров,с параллельной синхронной записью. Заранее спасибо. https://www.cyberforum.ru/ programmable-logic/ thread1025628.html Программируемая логика AHDL. Накапливающий сумматор https://www.cyberforum.ru/ programmable-logic/ thread1025621.html
Здравствуйте,помогите,пожалуйста нарисовать функциональную схему накапливающего сумматора конвейерной архитектуры (6 разрядный).Накапливаются 6 разрядные данные в 10 временных дискретах.В каждом дискрете 7 отсчетов.Данные поступают синхронно,с периодическим повторением через 10 временных дискретов.Нужно использовать цепочку д -триггеров,с параллельной синхронной записью. Заранее спасибо.
Программируемая логика Quartus. Моделирование работы шифратора кода Грея
Задание:Надо синтезировать схему устройства, а именно: Преобразователь двоичного ко-да в код Грея и описать его на основе Макрофункций в Quartus. Насколько я понял чтоб построить схему преобразователя мне нужен дешифратор и шифратор, после того как я его соберу мне нужно будет описать его на основе макрофункций и чтоб он выполнял функции преобразователя двоичного ко-да в код Грея. Что в Quartus...
Программируемая логика 8-ми разрядный сдвиговый регистр https://www.cyberforum.ru/ programmable-logic/ thread1020311.html
Здравствуйте. Нужно собрать 8 разрядный регистр с параллельным вводом и возможностью сдвига в сторону старшего разряда до появления в нем единиц. Смотрел в литературе, практически везде приводится сдвиг вправо(т.е младший разряд). Собрал со сдвигом вправо, вот прилагаю схему. Вопрос, разъясните пожалуйста, чем будет отличаться схема если сдвиг делать влево?
1 / 1 / 0
Регистрация: 06.10.2013
Сообщений: 97
14.12.2013, 20:04  [ТС] 0

Сдвиговые регистры - Программируемая логика - Ответ 5493762

14.12.2013, 20:04. Показов 692. Ответов 5
Метки (Все метки)

Ответ

спасибо, буду пробывать

Вернуться к обсуждению:
Сдвиговые регистры Программируемая логика
0
Заказать работу у эксперта
Programming
Эксперт
94731 / 64177 / 26122
Регистрация: 12.04.2006
Сообщений: 116,782
14.12.2013, 20:04
Готовые ответы и решения:

Сдвиговые (Shift) регистры
Подскажите пожалуйста, для чего нужен сдвигатель. Что он "двигает"? И чем отличается арифметический...

Регистры. Сдвиг влево
есть следующая таблица функциональности: y1 y2 y3 y4 y5 1 1 0 0 0 1 1 1 1 1 0 ...

Сдвиговые регистры I/O
Посоветуйте сдвиговых регистров, на вход и на выход. На счет вывода: Важно чтобы можно было...

почему сдвиговые регистры так греются
доброго времени суток:) есть у меня интересная схема с 2 сдвиговыми регистрами (m74hc595b1)...

5
14.12.2013, 20:04
IT_Exp
Эксперт
87844 / 49110 / 22898
Регистрация: 17.06.2006
Сообщений: 92,604
14.12.2013, 20:04
Помогаю со студенческими работами здесь

Arduino + Сдвиговые регистры + Много светодиодов
Добрый день, с недавних пор мне очень захотелось сделать фоновую RGB подсветку для монитора. Т.к....

spi индикация на сдвиговые регистры CH595
здравствуйте друзья, работа сдвигового регистра 595 и 7 сегментного индикатора состоящих из 5...

регистры
Только, только осваиваю AVR а посему возник вопрос: как перебрать последовательно регистры (РОН)....

регистры stm32f4
балуюсь с платой stm32f4discovery. Вроде одолел все эти подключения библиотек и настало время...

Регистры ARM
Возник такой вопрос по регистрам ARM. Когда возникает прерывание, обработчик прерываний кидает в...

регистры OCR1x
Прошу помощи! Уже целый вечер голову ломаю((( Проблема в следующем. Вот кусок года из моей...

0
КиберФорум - форум программистов, компьютерный форум, программирование
Powered by vBulletin
Copyright ©2000 - 2024, CyberForum.ru