Форум программистов, компьютерный форум, киберфорум

Программируемая логика: ПЛИС, ПАИС


Форум о ПЛИС. Обсуждение сред разработки, проектирования и языков программирования ПЛИС и ПАИС.
Войти
Регистрация
Восстановить пароль
Карта форума Темы раздела Блоги Сообщество Поиск Заказать работу  
Новая тема
Темы раздела : Программируемая логика: ПЛИС, ПАИС Искать в этом разделе
Объявление
Показов: 432,720 Посмотреть объявление Объявление: Поддержите наш форум!
23.08.2020 mik-a-el (Администратор)
Объявление
Показов: 4,202,141 Посмотреть объявление Объявление: Правила форума
20.11.2006 mik-a-el (Администратор)
  Рейтинг Тема / Автор Обновлено Ответов Показов
Важные темы
raxp
24.02.2024 21:29
24 44,221
OldFedor
29.11.2020 15:48
1 5,911
Lessberg
02.12.2017 12:43
3 21,758
R_E_W
24.09.2015 13:04
10 6,140
web8
09.12.2014 17:43
75 21,934
Важная тема Важно: Делители частоты (Тема на нескольких страницах 1  2  3 )
AkaDo.XD
25.12.2013 20:31
40 19,774
raxp
30.08.2013 08:43
0 6,138
Обычные темы
 
     
 
Задать вопрос
схема в logism помагите
Zhusipoiiiiii
09.02.2023 10:30
0 280
Было логическое уравнение. Для каждой логической операции есть таблица истинности. Входы и выходы...
itisme2488
22.01.2023 20:04
14 551
Здравствуйте, практикуюсь с диодами и не могу до конца додумать условия, при которых диоды...
Starboys
20.01.2023 21:39
3 375
Здравствуйте, суть программы в том, что есть 8 состояний и 4 кнопки (speed_plus, speed_minus,...
Starboys
28.12.2022 23:33
1 405
Нужно спроектировать на SystemVerilog последовательностную схему, которая будет обладать...
Noob_03
27.12.2022 14:33
0 333
Здравствуйте, помогите разобраться, хочу понять, как написать правильно. СОздав код, появвляются...
itisme2488
25.12.2022 21:07
1 341
Здравствуйте. Может кто-нибудь знает как сделать таблицу истинности для этой схемы:
FunnyK
21.12.2022 00:31
0 349
Здравствуйте, подскажите пожалуйста, если не трудно, как сделать так, чтобы при нажатии на кнопку...
Starboys
10.12.2022 17:57
1 336
Поскидывайте, примеры простых задач по этой теме пожалуйста
tupoy_bly
07.12.2022 16:48
6 943
Здравствуйте, вопрос по VHDL. Я задаю порты, потом подаю на вход-тактовую частоту, а на выходы...
Starboys
06.12.2022 16:28
0 320
Всех приветствую. Я буквально только на прошлой неделе начал изучать ПЛИС, и мне выдали такую вот...
albiongod
09.11.2022 14:16
1 523
По заданию мне нужно сделать приоритетный шифратор 8:3 с сигналом Ready, нужно его реализовать с...
Kirill_L
08.11.2022 17:35
0 289
Используя заданную функциональную схему триггера и временную диаграмму сигналов на его входах,...
Julia7
09.10.2022 13:35
0 383
Путём замены микросхем из рабочего промышленного контроллера в неисправный определили, что...
shyub
07.10.2022 14:12
1 509
Добрый день. В простейшем проекте на Verilog не работают `define. `define A 1 module...
Zhavoronkov5
05.08.2022 22:09
2 1,249
Доброго дня. Собрал в mulitisim усилитель мощности звука по вот такой вот схемке. Через buzzer...
Женя3232432
26.06.2022 16:17
0 1,302
Всем привет! Дали задачку в Университете: Опеpация выполняется по алгоpитму умножения чисел в...
Darkuss
18.06.2022 18:39
7 1,157
Помогите, пожалуйста, написать testbench для декодера 3 на 8 на основе логических элементов. ...
JozzyKs
18.06.2022 15:55
0 388
-------------------------------------------------------------------------------- -- ...
Sir9000
16.06.2022 13:37
0 246
Когда-то узнавал, но информацию забыл, давно было. Закладок тех нет уже. Но если память мне не...
Hretgir
13.06.2022 21:02
19 1,296
Имеется код: module SEQ ( input enable1, input enable2, input d, input sel, output reg y...
BugDugger
21.05.2022 09:51
2 616
Нужно реализовать принципиальную схему в базисе И-НЕ данной логической функции: ¬x1|¬x2|¬x3
delalcode
19.05.2022 08:34
3 414
Задание: Используя 8 корпусов микросхем К155ИД3, 1 корпус микросхемы К155ИД4 и необходимые...
Abriial
04.05.2022 18:21
1 1,525
Как сделать так, чтобы спад импульса был в 3р дольше(один треугольник) Необходимо чтобы...
Zensen10
01.05.2022 12:19
0 453
Используя 1 корпус ИС К155ИМ3, построить с ее помощью два независимых одноразрядных полных...
delalcode
21.04.2022 02:14
1 400
Привет всем! Необходимо взять картинку 4K 120 Hz HDR, идущую по HDMI 2.1, вычленять из неё,...
VBDUnit
13.04.2022 12:51
3 534
Здравствуйте уважаемые специалисты. Пытаюсь нарисовать 4-х разрядный счетчик на D-триггерах...
Viktor2004
08.04.2022 16:41
1 448
Здравствуйте! Мне нужно написать программу семисегметного индикатора в программе "НА ПЛИС ALTERA С...
Artem785
10.03.2022 15:04
0 461
Здравствуйте. У меня есть модуль с массивом интерфейсов в портах. Как передать этот массив в тест?...
Tsegorah
05.03.2022 14:23
1 422
Добрый день Пытаюсь смоделировать модуль в ModelSIM, а точнее заставить работать altpll. Все...
Dizark
04.03.2022 08:26
1 630
Доброе утро! Я новичок в ПЛИС. Нужно десериализатор сделать в ПЛИСе (Cyclone V SE). lvds входы. ...
fademike
02.02.2022 11:03
0 526
Есть код сдвигового регистра с асинхронным сбросом и параллельной загрузкой, не могу понять зачем...
Bovee
27.01.2022 10:41
2 881
Составить функциональную схему для управления впускным и выпускным клапанами.
Master profi
28.12.2021 13:45
0 482
Как в программном коде описать выход с открытым коллектором на языке AHDL?
Master profi
28.12.2021 08:15
0 432
Помогите написать код на языке AHDL. Техническое задание- управление впускным и выпускным...
Master profi
23.12.2021 19:17
1 656
Добрый день! Может кто то поможет с задачей: Синтез синхронного JK триггера (базис ИЛИ-НЕ)
Аделинка
06.12.2021 13:17
1 1,064
Доброго времени!Есть задание - создать мультиплексор "2 в 1". Обозначил два сигнальных входа как A...
DrBlue
05.12.2021 18:07
0 600
Добрый день, коллеги. Пытаюсь решить следующую проблему... Попытаюсь объяснить яснее: есть некое...
alepnm
04.12.2021 21:23
0 688
Сложилась такая ситуация в универе: Второй год как мучаем на занятиях лабораторный стенд...
Ksardas_178
24.11.2021 14:24
9 2,286
Задача: На вход подается тактовая частота 50MHz. На выходе нужны импульсы этой частоты (каждый...
mihlit
24.11.2021 02:05
27 4,003
В универе задали задание, но оно мне не понятно, можете помочь, задание подобно...
Sob4ik
23.11.2021 17:57
1 682
Необходимо исследовать схему для арифметического сдвига чисел в дополнительном коде на...
Master profi
27.10.2021 12:30
1 731
выдает ошибку Error: VLM_0040: VHDL unit cannot be compiled as the target library name is not a...
dushlak
20.10.2021 12:03
6 1,967
Всем привет. В наличии имеется задание в институте и глупый студент, который что-то не понимает....
valek_neznashka
17.10.2021 16:43
0 611
помогите исправить ошыбку library IEEE; use IEEE.STD_LOGIC_1164.all; entity TFF is port( ...
dushlak
08.10.2021 11:23
0 683
Здравствуйте, не могли бы Вы мне помочь? Я посмотрел обучающее видео про датчик температуры DS18B20...
Rimr2
04.10.2021 15:30
0 632
Добрый день всем. Делаю модуль детектирования максимума (с выхода АЦП). Да и сделал уже, но...
Dizark
17.09.2021 19:40
1 707
Здравствуйте, форумчане. Нужна срочная помощь. Есть схема D-триггера в Quartus, необходимо по ней...
Wizzer78
15.09.2021 15:43
0 1,041
Привет! друзья,я абсолютно не разбираюсь в элеткронике и программировании, но у меня есть девайс,...
Huntmm
27.08.2021 12:04
1 774
Здравствуйте! Я работаю над реализацией высокоскоростной передачи SPI и ищу лучший вариант для...
AnnaK2022
21.08.2021 23:59
1 824
Задать вопрос
       
 
Новая тема
КиберФорум - форум программистов, компьютерный форум, программирование
Powered by vBulletin
Copyright ©2000 - 2024, CyberForum.ru